Wrong State Diagram

edit

I think the transition (PrWrMiss/BusRd(S) -> Sc) should be (PrRdMiss/BusRd(S) -> Sc). Also the transition (PrWrMiss/BusRd(S') -> Sm) should be (PrWrMiss/[BusRd(S); BusUpd]).